首页出版说明中文期刊中文图书环宇英文官网付款页面

表决器的 VHDL 语言设计

陆 健
江苏商贸职业学院

摘要


表决器的 VHDL 语言设计

关键词


VHDL语言;三人表决器;波形仿真;电子设计自动化

全文:

PDF


参考


[1]顾斌,赵明忠,姜志鹏,马才根.数字电路 EDA 设计[M].西安:西安电子科技大学出版社,2010.5-6 [2]刘姝延,杨世平.四位乘法器的 VHDL 语言设计[J].烟台师范学院学报(自然科学版).2002,18(2):153-155.




DOI: http://dx.doi.org/10.18686/jyyjuy.v3i2.48796

Refbacks

  • 当前没有refback。